半導體:製造設備的最新份額:

半導體:製造設備的最新份額:

-2022年1-3月的趨勢和展望-

-增加東京電子的市場佔有率-

-檢查和設計系統變得更加重要-

半導體製造設備板塊:

半導體製造設備製造商1-3月和2-4月期間的財務業績已經完成。

日本廠商:

一般來說,良好的表現會持續下去。

美國製造商:

應用材料公司受到零部件短缺和通貨膨脹的影響。

2021年份額:

從產品的市場佔有率來看,東京電子的市場佔有率的提升是顯著的。

按產品劃分的市場份額:

-之前的過程-

塗佈/顯影劑

① 東京電子 89%,
② 西梅斯 7%,
③ 絲網控股 3%

干法蝕刻設備
(等離子蝕刻等)

① Lam Research 46%,
② 東京電子 29%,
③ 應用材料 16%,
④ 西梅斯 3%,
⑤ 日立高新技術 2%,

ALD(原子層沉積)成膜設備

① ASMI 45%,
② 東京電子 29%,
③ Lam Research 10%,
④ Wonick IPS 7%,
⑤ 傑森 4%,
⑥ 尤金科技 2%

化學氣相沉積

① 東京電子 44%,
② 國際電氣 30%,
③ Lam Research 17%,
④ 應用材料 6%,
⑤ 尤金科技 3%

等離子化學氣相沉積

① 應用材料 51%,
② Lam Research 32%,
③ Wonick IPS 9%,
④ 苔絲 4%,
⑤ ASMI 2%

PVD

① 應用材料 86%,
② ULVAC 5%,
③ KLA 3%

氧化/擴散膜沉積設備

① 東京電子 51%,
② 國際電氣 34%,
③ ASMI 10%,
④ 諾拉 4%

CMP裝置

① 應用材料 68%,
② 荏原株式會社 26%,

清掃裝置(單葉型)

① 絲網控股 35%,
② 東京電子 22%,
③ 西梅斯 21%,
④ Lam Research 16%,
⑤ ACM 研究 5%

清洗設備(批量式)

① 絲網控股 58%,
② 東京電子 33%,
③ 諾拉 5%

晶圓探針
(晶圓檢測設備)

① 東京電子 47%,
② 東京精密 42%,
③ 半混合 5%,
④ 西梅斯 3%

曝光裝置:EUV

① 阿斯麥 100%

曝光裝置:ArF浸入式

① ASML 95%,
② 尼康 5%

曝光裝置:KrF

阿斯麥 75%,
②佳能22%,
③ 尼康 3%

曝光裝置:i-line

①佳能65%,
② ASML 21%,
③ 尼康 15%

光罩缺陷檢測裝置

① Lasertec 60%(EUV 為 100%)
② 知識分子 40%

矽片缺陷檢測設備(量產用)

① KLA 100%(用於先進半導體)

-後期處理-

內存測試儀

① Advantest 51%,
② 泰瑞達 40%,
③ 外一計

SoC測試儀

① Advantest 45%,
② 泰瑞達 40%,
③ Cohu 15%

Dicer(將晶圓切割成芯片)

① 迪斯科 80%,
② 東京精密 ADT

鍵合機(鍵合IC芯片和引線框架)

Curic和沙發,
新川(雅馬哈汽車旗下),
芝浦機電一體化等

日本半導體製造設備製造商:

東京電子:
我們從早期就預見到了半導體的繁榮,增加了產能,並儲備了重要的零件。

日本主要半導體製造設備製造商

在面臨零部件短缺的情況下,我們成功地提高了業務績效。

西方半導體製造設備廠商:

應用材料:
最近,我們面臨著製造設備零部件短缺的問題。

由於零件短缺和美國的通貨膨脹

在 2022 年 10 月期間(2022 年 2 月至 2022 年 4 月)的第二季度,

銷售額僅增長11.9%,營業收入增長19.9%。

利潤增速較之前的50%增幅明顯放緩。

在截至 2022 年 10 月的財年第三季度,我們發布了銷售增長幾乎消失的指引。

ASML控股:
有缺少零件的影響。

KLA 公司:
雖然存在零部件短缺的影響,但與主要半導體製造設備製造商相比,影響較小。

部分企業仍保持較高的銷售額和利潤增長率。

未來盈利預測:

東京電子:
前端工藝的市場份額正在上升。

我們將在蝕刻和清洗設備方面獲得新的份額,這將導致未來的可持續增長。

激光技術:
使用 3 納米 EUV 光源的 EUV 用光掩模缺陷檢測裝置“ACTIS A150”的訂單正在增加。

截至 2022 年 6 月的預計積壓訂單為 3,328 億日元。

屏幕控股:
晶圓清洗設備市場份額第一。

2021年,第二大東京電子的市場份額有所增加。

Tokyo Electron 已成功向一家特定的內存製造商交付了晶圓清洗設備。

不過,對於擁有大市場的邏輯來說,絲網控股將繼續佔據主導地位。

KLA 公司:
是主要的矽片檢測設備(先進半導體專用),盈利能力高。

小型化從 5 納米發展到 3 納米再到 2 納米。

檢查在半導體領域變得越來越重要。

概要:
最大的 EDA。

由於半導體類型的貢獻、功能的增加以及 EDA 用戶的增加,業務表現強勁。

Toushiru樂天證券

https://media.rakuten-sec.net/articles/-/37610

Semi-conducteur : dernière part d’équipement de fabrication :

-Tendances et perspectives pour la période janvier-mars 2022-

-Augmentation de la part de marché de Tokyo Electron-

-Les systèmes d’inspection et de conception deviennent plus importants-

Secteur des équipements de fabrication de semi-conducteurs :

Les résultats financiers des périodes janvier-mars et février-avril 2022 des fabricants d’équipements de fabrication de semi-conducteurs sont terminés.

Fabricant japonais :

En général, les bonnes performances se poursuivent.

Fabricant américain :

Applied Materials est affecté par une pénurie de pièces et l’inflation.

Part 2021 :

Si l’on considère la part de marché par produit, l’augmentation de la part de marché de Tokyo Electron est évidente.

Part de marché par produit :

-Processus précédent-

Coucheur / Développeur

①Tokyo Electron 89%,
② Cèmes 7%,
③ ÉCRAN Avoirs 3 %

Matériel de gravure sèche
(Gravure plasma et autres)

① Recherche Lam 46%,
②Tokyo Electron 29%,
③ Matériaux appliqués 16 %,
④ Cèmes 3%,
⑤ Hitachi High-Tech 2 %,

Équipement de formation de film ALD (dépôt de couche atomique)

①ASMI 45%,
②Tokyo Electron 29%,
③ Recherche Lam 10%,
④ Wonick IPS 7%,
⑤ Jason 4%,
⑥ Eugene Tech 2%

MCV

①Tokyo Electron 44%,
② KOKUSAI ELECTRIQUE 30%,
③ Recherche Lam 17%,
④ Matériaux appliqués 6 %,
⑤ Eugène Tech 3%

CVD plasma

① Matériaux appliqués 51 %,
② Recherche Lam 32%,
③Wonic IPS 9%,
④ Tess 4%,
⑤ ASMI 2 %

PVD

① Matériaux appliqués 86 %,
② ULVAC 5 %,
③ UCK 3 %

Équipement de dépôt de film d’oxydation/diffusion

①Tokyo Electron 51%,
② KOKUSAI ELECTRIQUE 34%,
③ASMI 10%,
④ Nowra 4%

Dispositif CMP

① Matériaux appliqués 68 %,
② Ebara Corporation 26%,

Dispositif de nettoyage (type à un vantail)

① ÉCRAN Participations 35 %,
②Tokyo Electron 22%,
③ Cèmes 21%,
④ Recherche Lam 16%,
⑤ Recherche ACM 5%

Matériel de nettoyage (type batch)

① ÉCRAN Participations 58 %,
②Tokyo Electron 33%,
③ Nowra 5 %

Sonde de plaquettes
(Équipement d’inspection de plaquettes)

①Tokyo Electron 47%,
②Tokyo Seimitsu 42%,
③ Semimix 5%,
④ Cèmes 3%

Appareil d’exposition : EUV

① ASML 100 %

Dispositif d’exposition : immersion ArF

① ASML 95 %,
②Nikon 5%

Appareil d’exposition : KrF

ASML 75%,
② Canon 22 %,
③Nikon 3%

Appareil d’exposition : i-line

①Canon 65%,
② ASML 21 %,
③Nikon 15%

Dispositif d’inspection des défauts du photomasque

① Lasertec 60% (100% pour EUV)
② UCK 40 %

Équipement d’inspection des défauts des tranches de silicium (pour la production de masse)

① KLA 100 % (pour les semi-conducteurs avancés)

-Post-traitement-

Testeur de mémoire

① Avant-test 51 %,
② Téradyne 40 %,
③ Wai Ikei

Testeur SoC

① Avant-test 45 %,
② Téradyne 40 %,
③ Cohu 15%

Dicer (découper la plaquette en chips)

① Discothèque 80%,
② Tokyo Seimitsu ADT

Bonder (liaison puce IC et grille de connexion)

Curic et canapé,
Shinkawa (sous l’égide de Yamaha Motor),
Shibaura Mécatronique, etc.

Fabricant japonais d’équipements de fabrication de semi-conducteurs :

Tokyo Electron :
Nous avons prévu le boom des semi-conducteurs dès le début, augmenté la capacité de production et stocké des pièces importantes.

Un important fabricant japonais d’équipements de fabrication de semi-conducteurs

Nous avons réussi à améliorer nos performances commerciales tout en faisant face à une pénurie de pièces.

Fabricants occidentaux d’équipements de fabrication de semi-conducteurs :

Matériaux appliqués :
Depuis peu, nous faisons face à une pénurie de pièces pour les équipements de fabrication.

En raison d’une pénurie de pièces et de l’inflation aux États-Unis

Au 2T de la période d’octobre 2022 (février-avril 2022),

Seulement 11,9% d’augmentation des ventes et 19,9% d’augmentation du résultat d’exploitation.

Le taux de croissance des bénéfices a considérablement ralenti par rapport à la précédente augmentation de 50 %.

Au 3e trimestre de l’exercice se terminant en octobre 2022, nous avons annoncé que la croissance des ventes disparaîtrait presque.

Gestion ASML :
Il y a un effet de manque de pièces.

Société KLA :
Bien qu’il y ait eu un impact d’une pénurie de pièces, l’impact était mineur par rapport aux principaux fabricants d’équipements de fabrication de semi-conducteurs.

Certaines entreprises maintiennent encore des taux de croissance élevés des ventes et des bénéfices.

Prévisions de bénéfices futurs :

Tokyo Electron :
La part de marché dans le processus frontal est en hausse.

Nous gagnerons une nouvelle part dans les équipements de gravure et de nettoyage, ce qui conduira à une croissance durable à l’avenir.

Lasertec :
Les commandes de l’appareil d’inspection de défauts de photomasque « ACTIS A150 » pour EUV, qui utilise une source lumineuse EUV pour 3 nanomètres, sont en augmentation.

Le carnet de commandes attendu à fin juin 2022 est de 332,8 milliards de yens.

ÉCRAN Avoirs :
Top part dans l’équipement de nettoyage de plaquettes.

En 2021, la part de marché de Tokyo Electron, le deuxième plus grand, a augmenté.

Tokyo Electron a livré avec succès un dispositif de nettoyage de plaquettes à un fabricant de mémoire spécifique.

Cependant, pour la logique, qui dispose d’un grand marché, SCREEN Holdings continuera de dominer.

Société KLA :
Il s’agit d’un dispositif majeur d’inspection de tranches de silicium (exclusif aux semi-conducteurs avancés) et a une rentabilité élevée.

La miniaturisation progresse de 5 nano à 3 nano à 2 nano.

L’inspection devient de plus en plus importante dans le domaine des semi-conducteurs.

Synopsis :
Le plus grand EDA.

La performance commerciale est forte en raison de la contribution des types de semi-conducteurs, de l’augmentation des fonctions et de l’augmentation des utilisateurs d’EDA.

Toushiru Rakuten Securities

Halbleiter: Letzter Anteil an Fertigungsanlagen:

-Trends und Ausblick für den Zeitraum Januar-März 2022-

-Höherer Marktanteil von Tokyo Electron-

-Inspektions- und Konstruktionssysteme werden wichtiger-

Sektor der Halbleiterherstellungsausrüstung:

Die Finanzergebnisse für die Zeiträume Januar-März und Februar-April 2022 der Hersteller von Halbleiterfertigungsanlagen wurden fertiggestellt.

Japanischer Hersteller:

Im Allgemeinen setzt sich die gute Leistung fort.

US-Hersteller:

Applied Materials ist von Teileknappheit und Inflation betroffen.

Anteil 2021:

Betrachtet man die Marktanteile nach Produkten, fällt der Anstieg des Marktanteils von Tokyo Electron auf.

Marktanteil nach Produkt:

-Vorheriger Prozess-

Beschichter / Entwickler

① Tokyo Electron 89 %,
② Cemes 7%,
③ SCREEN-Beteiligungen 3 %

Ausrüstung zum Trockenätzen
(Plasmaätzen und andere)

① Lam-Forschung 46 %,
② Tokio Electron 29 %,
③ Angewandte Materialien 16 %,
④ Cemes 3%,
⑤ Hitachi High-Tech 2 %,

ALD (Atomschichtabscheidung) Filmbildungsausrüstung

① ASMI 45 %,
② Tokio Electron 29 %,
③ Lam-Forschung 10 %,
④ Wonick IPS 7 %,
⑤Jason 4%,
⑥ Eugen Tech 2%

CVD

① Tokyo Electron 44 %,
② KOKUSAI ELECTRIC 30 %,
③ Lam-Forschung 17 %,
④ Angewandte Materialien 6%,
⑤ Eugen Tech 3%

Plasma-CVD

① Angewandte Materialien 51 %,
② Lam-Forschung 32 %,
③ Wonick-IPS 9 %,
④ Test 4%,
⑤ ASMI 2 %

PVD

① Angewandte Materialien 86 %,
② ULVAC 5 %,
③ KLA 3 %

Oxidations- / Diffusionsfilmabscheidungsausrüstung

① Tokyo Electron 51 %,
② KOKUSAI ELECTRIC 34 %,
③ ASMI 10 %,
④ Nowra 4%

CMP-Gerät

① Angewandte Materialien 68 %,
② Ebara Corporation 26 %,

Reinigungsgerät (einblättriger Typ)

① SCREEN-Beteiligungen 35 %,
② Tokio Electron 22 %,
③ Cemes 21 %,
④ Lam-Forschung 16 %,
⑤ ACM-Forschung 5 %

Reinigungsgeräte (Batch-Typ)

① SCREEN-Beteiligungen 58 %,
② Tokio Electron 33 %,
③ Nowra 5%

Wafer-Prober
(Wafer-Inspektionsausrüstung)

① Tokyo Electron 47 %,
② Tokio Seimitsu 42 %,
③ Semix 5%,
④ Cemes 3%

Belichtungsgerät: EUV

① ASML 100 %

Belichtungsgerät: ArF-Immersion

① ASML 95 %,
② Nikon 5%

Belichtungsgerät: KrF

ASML 75 %,
② Canon 22 %,
③ Nikon 3%

Belichtungsgerät: i-line

① Canon 65 %,
② ASML 21 %,
③ Nikon 15 %

Gerät zur Inspektion von Fotomaskenfehlern

① Lasertec 60 % (100 % für EUV)
② KLA 40 %

Geräte zur Inspektion von Siliziumwafern (für die Massenproduktion)

① KLA 100 % (für fortschrittliche Halbleiter)

-Nachbearbeitung-

Speichertester

① Vorteil 51 %,
② Teradyne 40 %,
③ Wai Ikei

SoC-Tester

① Vorteil 45 %,
② Teradyne 40 %,
③ Cohu 15 %

Dicer (die Waffeln in Chips schneiden)

① Disco 80 %,
② Tokio Seimitsu ADT

Bonder (Bonden von IC-Chip und Leadframe)

Curic und Sofa,
Shinkawa (unter dem Dach von Yamaha Motor),
Shibaura Mechatronik usw.

Japanischer Hersteller von Halbleiterfertigungsanlagen:

Tokio Electron:
Wir haben den Halbleiterboom frühzeitig vorausgesehen, die Produktionskapazität erhöht und wichtige Teile bevorratet.

Ein großer japanischer Hersteller von Halbleiterfertigungsanlagen

Es ist uns gelungen, unsere Geschäftsleistung trotz eines Mangels an Teilen zu verbessern.

Westliche Hersteller von Halbleiterfertigungsanlagen:

Angewandte Materialien:
In letzter Zeit sind wir mit einem Mangel an Teilen für Fertigungsanlagen konfrontiert.

Aufgrund von Teilemangel und Inflation in den Vereinigten Staaten

Im 2. Quartal des Zeitraums Oktober 2022 (Februar bis April 2022)

Nur 11,9 % Umsatzsteigerung und 19,9 % Steigerung des Betriebsergebnisses.

Die Gewinnwachstumsrate hat sich gegenüber dem vorherigen Anstieg von 50 % deutlich verlangsamt.

Im 3. Quartal des Geschäftsjahres, das im Oktober 2022 endete, gaben wir eine Prognose heraus, dass das Umsatzwachstum fast verschwinden würde.

ASML-Holding:
Es gibt einen Effekt des Mangels an Teilen.

KLA-Corporation:
Obwohl es einen Mangel an Teilen gab, waren die Auswirkungen im Vergleich zu großen Herstellern von Halbleiterfertigungsanlagen gering.

Einige Unternehmen haben immer noch hohe Umsatz- und Gewinnwachstumsraten.

Zukünftige Gewinnprognose:

Tokio Electron:
Der Marktanteil im Frontend-Prozess steigt.

Wir werden einen neuen Anteil an Ätz- und Reinigungsgeräten gewinnen, was in Zukunft zu nachhaltigem Wachstum führen wird.

Lasertec:
Die Bestellungen für das Fotomasken-Defektinspektionssystem „ACTIS A150“ für EUV, das eine EUV-Lichtquelle für 3 Nanometer verwendet, nehmen zu.

Der erwartete Auftragsbestand per Ende Juni 2022 beträgt 332,8 Milliarden Yen.

SCREEN-Bestände:
Spitzenreiter bei Wafer-Reinigungsanlagen.

Im Jahr 2021 stieg der Marktanteil von Tokyo Electron, dem zweitgrößten.

Tokyo Electron hat erfolgreich ein Wafer-Reinigungsgerät an einen bestimmten Speicherhersteller geliefert.

Für Logik, die einen großen Markt hat, wird SCREEN Holdings jedoch weiterhin dominieren.

KLA-Corporation:
Es ist ein wichtiges Inspektionsgerät für Siliziumwafer (exklusiv für fortschrittliche Halbleiter) und hat eine hohe Rentabilität.

Die Miniaturisierung schreitet von 5 Nano über 3 Nano bis 2 Nano fort.

Inspektion wird im Halbleiterbereich immer wichtiger.

Zusammenfassung:
Die größte EDA.

Die Geschäftsentwicklung ist aufgrund des Beitrags der Halbleitertypen, der Zunahme der Funktionen und der Zunahme der EDA-Anwender stark.

Toushiru Rakuten Securities